切换到宽版
  • 16048阅读
  • 24回复

[分享]新版主上任,先放点料:印制电路板DFM [复制链接]

上一主题 下一主题
离线寂寞到底
在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时
级别:Mod
 

金币
113935
威望
90
贡献
14
好评
11
注册
2002-09-12
只看楼主 倒序阅读 使用道具 楼主  发表于: 2010-01-12
本帖被 skylee 从 DFX专栏 移动到本区(2010-01-23)
印制电路板DFM通用技术要求
本标准规定了单双面印制电路板可制造性设计的通用技术要求,包括材料、尺寸和公差、印制导线和焊盘、金属化孔、导通孔、安装孔、镀层、涂敷层、字符和标记等。作为印制板设计人员设计单双面板(Single/Double sided board)时参考:
1 一般要求
1.1 本标准作为PCB设计的通用要求,规范PCB设计和制造,实现CAD与CAM的有效沟通。
1.2 我司在文件处理时优先以设计图纸和文件作为生产依据。
2 PCB材料
2.1 基材
PCB的基材一般采用环氧玻璃布覆铜板,即FR4。(含单面板)
2.2 铜箔
a)99.9%以上的电解铜;
b)双层板成品表面铜箔厚度≥35µm(1OZ);有特殊要求时,在图样或文件中指明。
3 PCB结构、尺寸和公差
3.1 结构
a) 构成PCB的各有关设计要素应在设计图样中描述。外型应统一用Mechanical 1 layer(优先) 或Keep out layer 表示。若在设计文件中同时使用,一般keep out layer用来屏蔽,不开孔,而用mechanical 1表示成形。
b)在设计图样中表示开长SLOT孔或镂空,用Mechanical 1 layer 画出相应的形状即可。
3.2 板厚公差
成品板厚 0.4~1.0mm 1.1~2.0mm 2.1~3.0mm
公差 ±0.13mm ±0.18mm ±0.2mm
3.3 外形尺寸公差
PCB外形尺寸应符合设计图样的规定。当图样没有规定时,外形尺寸公差为±0.2mm。(V-CUT产品除外)
3.4 平面度(翘曲度)公差
PCB的平面度应符合设计图样的规定。当图样没有规定时,按以下执行
成品板厚 0.4~1.0mm 1.0~3.0mm
翘曲度 有SMT≤0.7%;无SMT≤1.3% 有SMT≤0.7%;无SMT≤1.0%
4 印制导线和焊盘
4.1 布局
a)印制导线和焊盘的布局、线宽和线距等原则上按设计图样的规定。但我司会有以下处理:适当根据工艺要求对线宽、PAD环宽进行补偿,单面板一般我司将尽量加大PAD,以加强客户焊接的可靠性。
b)当设计线间距达不到工艺要求时(太密可能影响到性能、可制造性时),我司根据制前设计规范适当调整。
c)我司原则上建议客户设计单双面板时,导通孔(VIA)内径设置在0.3mm以上,外径设置在0.7mm以上,线间距设计为8mil,线宽设计为8mil以上。以最大程度的降低生产周期,减少制造难度。
d)我司最小钻孔刀具为0.3,其成品孔约为0.15mm。最小线间距为6mil。最细线宽为6mil。(但制造周期较长、成本较高)
4.2 导线宽度公差
印制导线的宽度公差内控标准为±15%
4.3 网格的处理
a)为了避免波峰焊接时铜面起泡和受热后因热应力作用PCB板弯曲,大铜面上建议铺设成网格形式。
b)其网格间距≥10mil(不低于8mil),网格线宽≥10mil(不低于8mil)。
4.4 隔热盘(Thermal pad)的处理
在大面积的接地(电)中,常有元器件的腿与其连接,对连接腿的处理兼顾电气性能与工艺需要,做成十字花焊盘(隔热盘),可使在焊接时因截面过分散热而产生虚焊点的可能性大大减少。
5 孔径(HOLE)
5.1 金属化(PHT)与非金属化(NPTH)的界定
a) 我司默认以下方式为非金属化孔:
当客户在Protel99se高级属性中(Advanced菜单中将plated项勾去除)设置了安装孔非金属化属性,我司默认为非金属化孔。
当客户在设计文件中直接用keep out layer或mechanical 1层圆弧表示打孔(没有再单独放孔),我司默认为非金属化孔。
当客户在孔附近放置NPTH字样,我司默认为此孔非金属化。
当客户在设计通知单中明确要求相应的孔径非金属化(NPTH),则按客户要求处理。
b) 除以上情况外的元件孔、安装孔、导通孔等均应金属化。
5.2 孔径尺寸及公差
a) 设计图样中的PCB元件孔、安装孔默认为最终的成品孔径尺寸。其孔径公差一般为±3mil(0.08mm);
b) 导通孔(即VIA 孔)我司一般控制为:负公差无要求,正公差控制在+ 3mil(0.08mm)以内。
5.3 厚度
金属化孔的镀铜层的平均厚度一般不小于20µm,最薄处不小于18µm。
5.4 孔壁粗糙度
PTH孔壁粗糙度一般控制在≤ 32um
5.5 PIN孔问题
a)我司数控铣床定位针最小为0.9mm,且定位的三个PIN孔应呈三角形。
b)当客户无特殊要求,设计文件中孔径均<0.9mm时,我司将在板中空白无线路处或大铜面上合适位置加PIN孔。
5.6 SLOT孔(槽孔)的设计
a) 建议SLOT孔用Mechanical 1 layer(Keep out layer)画出其形状即可;也可以用连孔表示,但连孔应大小一致,且孔中心在同一条水平线上。
b) 我司最小的槽刀为0.65mm。
c) 当开SLOT孔用来屏蔽,避免高低压之间爬电时,建议其直径在1.2mm以上,以方便加工。
6 阻焊层
6.1 涂敷部位和缺陷
a)除焊盘、MARK点、测试点等之外的PCB表面,均应涂敷阻焊层。
b)若客户用FILL或TRACK表示的盘,则必须在阻焊层(Solder mask)层画出相应大小的图形,以表示该处上锡。(我司强烈建议设计前不用非PAD形式表示盘)
c)若需要在大铜皮上散热或在线条上喷锡,则也必须用阻焊层(Solder mask)层画出相应大小的图形,以表示该处上锡。
6.2 附着力
阻焊层的附着力按美国IPC-A-600F的2级要求。
6.3 厚度
阻焊层的厚度符合下表:
线路表面 线路拐角 基材表面
≥10μm ≥8μm 20~30μm
7 字符和蚀刻标记
7.1 基本要求
a) PCB的字符一般应该按字高30mil、字宽5mil 、字符间距4mil以上设计,以免影响文字的可辨性。
b) 蚀刻(金属)字符不应与导线桥接,并确保足够的电气间隙。一般设计按字高30mil、字宽7mil以上设计。
c) 客户字符无明确要求时,我司一般会根据我司的工艺要求,对字符的搭配比例作适当调整。
d) 当客户无明确规定时,我司会在板中丝印层适当位置根据我司工艺要求加印我司商标、料号及周期。
7.2 文字上PAD\SMT的处理
盘(PAD)上不能有丝印层标识,以避免虚焊。当客户有设计上PAD\SMT时,我司将作适当移动处理,其原则是不影响其标识与器件的对应性。
8 层的概念及MARK点的处理
层的设计
8.1 双面板我司默认以顶层(即Top layer)为正视面,topoverlay丝印层字符为正。
8.2 单面板以顶层(Top layer)画线路层(Signal layer),则表示该层线路为正视面。
8.3 单面板以底层(Top layer)画线路层(Signal layer),则表示该层线路为透视面。
MARK点的设计
8.4 当客户为拼板文件有表面贴片(SMT)需用Mark点定位时,须放好MARK,为圆形直径1.0mm。
8.5 当客户无特殊要求时,我司在Solder Mask层放置一个1.5mm的圆弧来表示无阻焊剂,以增强可识别性。
8.6 当客户为拼板文件有表面贴片有工艺边未放MARK时,我司一般在工艺边对角正中位置各加一个MARK点;当客户为拼板文件有表面贴片无工艺边时,一般需与客户沟通是否需要添加MARK。
9 关于V-CUT (割V型槽)
9.1 V割的拼板板与板相连处不留间隙.但要注意导体与V割中心线的距离。一般情况下V-CUT线两边的导体间距应在0.5mm以上,也就是说单块板中导体距板边应在0.25mm以上。
9.2 V-CUT线的表示方法为:一般外形为keep out layer (Mech 1)层表示,则板中需V割的地方只需用keep out layer(Mech 1) 层画出并最好在板连接处标示V-CUT字样。
9.3 如下图,一般V割后残留的深度为1/3板厚,另根据客户的残厚要求可适当调整。
9.4 V割产品掰开后由于玻璃纤维丝有被拉松的现象,尺寸会略有超差,个别产品会偏大0.5mm以上。
9.5 V-CUT 刀只能走直线,不能走曲线和折线;且可拉线板厚一般在0.8mm以上。
10 表面处理工艺
当客户无特别要求时,我司表面处理默认采用热风整平(HAL)的方式。(即喷锡:63锡/37铅)
以上DFM通用技术要求(单双面板部分)为我司客户在设计PCB文件时的参考,并希望能就以上方面达成某种一致,以更好的实现CAD与CAM的沟通,更好的实现可制造性设计(DFM)的共同目标,更好的缩短产品制造周期,降低生产成本。
2条评分
nestor 贡献 +1 希望很快能再分享您的下一贴! 2010-01-12
skylee 威望 +1 感谢分享,加分鼓励下! 2010-01-12
分享到
离线寂寞到底
在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时
级别:Mod

金币
113935
威望
90
贡献
14
好评
11
注册
2002-09-12
只看该作者 沙发  发表于: 2010-01-12
印制线路板设计经验点滴

对于电子产品来说,印制线路板设计是其从电原理图变成一个具体产品必经的一道设
计工序,其设计的合理性与产品生产及产品质量紧密相关,而对于许多刚从事电子设计的人
员来说,在这方面经验较少,虽然已学会了印制线路板设计软件,但设计出的印制线路板常
有这样那样的问题,而许多电子刊物上少有这方面文章介绍,笔者曾多年从事印制线路板设
计的工作,在此将印制线路板设计的点滴经验与大家分享,希望能起到抛砖引玉的作用。笔
者的印制线路板设计软件

?  板的布局:  
1.  印制线路板上的元器件放置的通常顺序:  
1.  放置与结构有紧密配合的固定位置的元器件,如电源插座、指示灯、开关、连接件
之类,这些器件放置好后用软件的 LOCK  功能将其锁定,使之以后不会被误移动;    
2.  放置线路上的特殊元件和大的元器件,如发热元件、变压器、IC  等;  
3.  放置小器件。  
2.  元器件离板边缘的距离:可能的话所有的元器件均放置在离板的边缘 3mm 以内或至少
大于板厚, 这是由于在大批量生产的流水线插件和进行波峰焊时, 要提供给导轨槽使用,
同时也为了防止由于外形加工引起边缘部分的缺损,如果印制线路板上元器件过多,不
得已要超出 3mm 范围时,可以在板的边缘加上 3mm 的辅边,辅边开 V  形槽,在生产
时用手掰断即可。  
3.  高低压之间的隔离:在许多印制线路板上同时有高压电路和低压电路,高压电路部分的
元器件与低压部分要分隔开放置, 隔离距离与要承受的耐压有关, 通常情况下在 2000kV
时板上要距离 2mm,在此之上以比例算还要加大,例如若要承受 3000V的耐压测试,
则高低压线路之间的距离应在 3.5mm 以上,许多情况下为避免爬电,还在印制线路板
上的高低压之间开槽。  

?  印制线路板的走线:  
1.  印制导线的布设应尽可能的短,在高频回路中更应如此;印制导线的拐弯应成圆角,而
直角或尖角在高频电路和布线密度高的情况下会影响电气性能;当两面板布线时,两面
的导线宜相互垂直、斜交、或弯曲走线,避免相互平行,以减小寄生耦合;作为电路的
输入及输出用的印制导线应尽量避免相邻平行,以免发生回授,在这些导线之间最好加
接地线。  
2.  印制导线的宽度:导线宽度应以能满足电气性能要求而又便于生产为宜,它的最小值以
承受的电流大小而定,但最小不宜小于 0.2mm,在高密度、高精度的印制线路中,导线
宽度和间距一般可取 0.3mm;导线宽度在大电流情况下还要考虑其温升,单面板实验表
明,当铜箔厚度为 50μm、导线宽度 1~1.5mm、通过电流 2A时,温升很小,因此,
一般选用 1~1.5mm 宽度导线就可能满足设计要求而不致引起温升;印制导线的公共地
线应尽可能地粗,可能的话,使用大于 2~3mm 的线条,这点在带有微处理器的电路中
尤为重要,因为当地线过细时,由于流过的电流的变化,地电位变动,微处理器定时信
号的电平不稳,会使噪声容限劣化;在 DIP 封装的 IC脚间走线,可应用 10-10 与 12
-12 原则,即当两脚间通过 2 根线时,焊盘直径可设为 50mil、线宽与线距都为 10mil,
当两脚间只通过 1 根线时,焊盘直径可设为 64mil、线宽与线距都为 12mil。  
3.  印制导线的间距:相邻导线间距必须能满足电气安全要求,而且为了便于操作和生产,间距也应尽量宽些。最小间距至少要能适合承受的电压。这个电压一般包括工作电压、
附加波动电压以及其它原因引起的峰值电压。 如果有关技术条件允许导线之间存在某种
程度的金属残粒, 则其间距就会减小。 因此设计者在考虑电压时应把这种因素考虑进去。
在布线密度较低时,信号线的间距可适当地加大,对高、低电平悬殊的信号线应尽可能
地短且加大间距。 4.  印制导线的屏蔽与接地:印制导线的公共地线,应尽量布置在印
制线路板的边缘部分。在印制线路板上应尽可能多地保留铜箔做地线,这样得到的屏蔽
效果,比一长条地线要好,传输线特性和屏蔽作用将得到改善,另外起到了减小分布电
容的作用。印制导线的公共地线最好形成环路或网状,这是因为当在同一块板上有许多
集成电路,特别是有耗电多的元件时,由于图形上的限制产生了接地电位差,从而引起
噪声容限的降低,当做成回路时,接地电位差减小。另外,接地和电源的图形尽可能要
与数据的流动方向平行,这是抑制噪声能力增强的秘诀;多层印制线路板可采取其中若
干层作屏蔽层,电源层、地线层均可视为屏蔽层,一般地线层和电源层设计在多层印制
线路板的内层,信号线设计在内层和外层。  

?  焊盘:  
焊盘的直径和内孔尺寸: 焊盘的内孔尺寸必须从元件引线直径和公差尺寸以及搪锡层厚
度、孔径公差、孔金属化电镀层厚度等方面考虑,焊盘的内孔一般不小于 0.6mm,因为小
于 0.6mm 的孔开模冲孔时不易加工,通常情况下以金属引脚直径值加上 0.2mm 作为焊盘内
孔直径,如电阻的金属引脚直径为 0.5mm 时,其焊盘内孔直径对应为 0.7mm,焊盘直径取
决于内孔直径,如下表:    
  
孔直径  0.4  0.5  0.6  0.8  1.0  1.2  1.6  2.0
焊盘直径  1.5  1.5  2  2.5  3.0  3.5  4

1.当焊盘直径为 1.5mm 时,为了增加焊盘抗剥强度,可采用长不小于 1.5mm,宽为
1.5mm 和长圆形焊盘,此种焊盘在集成电路引脚焊盘中最常见。  
2.对于超出上表范围的焊盘直径可用下列公式选取:
直径小于 0.4mm 的孔:D/d=0.5~3
直径大于 2mm 的孔:  D/d=1.5~2
式中:(D-焊盘直径,d-内孔直径)

有关焊盘的其它注意点:  
1.  焊盘内孔边缘到印制板边的距离要大于 1mm  ,这样可以避免加工时导致焊盘缺损。  
2.  焊盘的开口:有些器件是在经过波峰焊后补焊的,但由于经过波峰焊后焊盘内孔被锡封
住,使器件无法插下去,解决办法是在印制板加工时对该焊盘开一小口,这样波峰焊时
内孔就不会被封住,而且也不会影响正常的焊接。  
3.  焊盘补泪滴:当与焊盘连接的走线较细时,要将焊盘与走线之间的连接设计成水滴状,
这样的好处是焊盘不容易起皮,而是走线与焊盘不易断开。  
4.  相邻的焊盘要避免成锐角或大面积的铜箔,成锐角会造成波峰焊困难,而且有桥接的危
险,大面积铜箔因散热过快会导致不易焊接。  

?  大面积敷铜:
印制线路板上的大面积敷铜常用于两种作用,一种是散热,一种用于屏蔽来减小干扰,
初学者设计印制线路板时常犯的一个错误是大面积敷铜上没有开窗口, 而由于印制线路板板材的基板与铜箔间的粘合剂在浸焊或长时间受热时,会产生挥发性气体无法排除,热量不易
散发,以致产生铜箔膨胀,脱落现象。因此在使用大面积敷铜时,应将其开窗口设计成网状。    

?  跨接线的使用:
在单面的印制线路板设计中,有些线路无法连接时,常会用到跨接线,在初学者中,跨
接线常是随意的,有长有短,这会给生产上带来不便。放置跨接线时,其种类越少越好,通
常情况下只设 6mm,8mm,10mm 三种,超出此范围的会给生产上带来不便。  

?  板材与板厚:
印制线路板一般用覆箔层压板制成, 常用的是覆铜箔层压板。 板材选用时要从电气性能、
可靠性、加工工艺要求、经济指标等方面考虑,常用的覆铜箔层压板有覆铜箔酚醛纸质层压
板、覆铜箔环氧纸质层压板、覆铜箔环氧玻璃布层压板、覆铜箔环氧酚醛玻璃布层压板、覆
铜箔聚四氟乙烯玻璃布层压板和多层印制线路板用环氧玻璃布等。 由于环氧树脂与铜箔有极
好的粘合力,因此铜箔的附着强度和工作温度较高,可以在 260℃的熔锡中浸焊而无起泡。
环氧树脂浸渍的玻璃布层压板受潮湿的影响较小。 超高频印制线路最优良的材料是覆铜箔聚
四氟乙烯玻璃布层压板。在有阻燃要求的电子设备上,还要使用阻燃性覆铜箔层压板,其原
理是由绝缘纸或玻璃布浸渍了不燃或难燃性的树脂,使制得的覆铜箔酚醛纸质层压板、覆铜
箔环氧纸质层压板、覆铜箔环氧玻璃布层压板、覆铜箔环氧酚醛玻璃布层压板,除了具有同
类覆铜箔层压板的相拟性能外,还有阻燃性。  印制线路板的厚度应根据印制板的功能及所
装元件的重量、印制板插座规格、印制板的外形尺寸和所承受的机械负荷来决定。多层印制
板总厚度及各层间厚度的分配应根据电气和结构性能的需要以及覆箔板的标准规格来选取。
常见的印制线路板厚度有 0.5mm、1mm、1.5mm、2mm 等。
离线寂寞到底
在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时
级别:Mod

金币
113935
威望
90
贡献
14
好评
11
注册
2002-09-12
只看该作者 藤椅  发表于: 2010-01-12
SMT印制板设计质量的审核

  摘要:针对印制板设计过程中,设计者应遵循的原则和方法,设计阶段完成后,设计者必须进行的自审和工艺工程人员的复审项目与内容进行了讨论。
  关键词:表面贴装技术;印制电路板;自审;复审


  在保证SMT印制板生产质量的过程中,设计质量是质量保证的前提和条件,如果疏忽了对设计质量的控制或缺乏有效的控制手段,往往造成批量生产中的很大损失和浪费。根据这一情况本文结合组装过程的实际情况和有关资料,总结出SMT印制板设计过程中设计员的自审和专业工艺工程人员的复审内容和项目,供产品设计师和工艺师参考。
1 SMT设计程序
  新产品在开发过程中往往分为方案设计阶段、初步设计阶段、工程设计阶段、样板和试生产阶段、批量生产阶段等几个环节。
1.1方案设计阶段
  在新产品调研、分析与立项过程中,产品设计师和工艺师应根据标准和技术要求分别规划产品功能、外观造型设计和应该采用的工艺方法和建议。
1.2初步设计阶段
  在完成造形设计和结构设计的基础上,规划出SMT印制板外形图,该图主要规划出印制板的长宽和厚度要求,与结构件装配孔大小位置、应预留边缘尺寸等,使电路设计师能在有效范围内进行布线设计。
1.3工程设计阶段
  在电路设计师设计过程中,依据各种标准和手册进行详细布线,实现功能。
1.4样机与试生产阶段
  根据设计资料加工SMT、印制板,验证设计功能是否达到和满足工序要求。
1.5批量生产阶段
  在SMT印制板设计的各个阶段设计师应经常对自己的设计进行自我审查,工艺师也应经常进行复审,提出建议和解决办法。而在上述各阶段中以工程设计阶段完成后的设计师的自我审查与工艺师的复审员为重要和关键,下面详细介绍此阶段自审与复审项目和内容及一些基本设计原则。
2 设计完成后设计质量的审核
  SMT印制板详细阶段设计完成后,设计者按以下条目进行一次全面的自我审查非常必要,有助于减少一些显而易见的问题,工艺员或专业工程人员进行复审将尽可能地提高设计质量。
2.1 审核PCB设计后的组装形式
  从加工工艺的过程考虑,优化工序环节不但可以降低生产成本、而且提高了产品的质量。因此设计者应考虑SMT板形设计是否最大限度地减少组装流程的问题,即多层板或双面板的设计能否用单面板代替?PCB每一面是否能用一种组装流程完成?能否最大限度地不用手工焊使用的插装元件能否用贴片元件代替?推荐使用SMT印制板组装形式见表l。
表1 SMT印制板组装形式            
组装形式 PCB设计特征
单面全SMD 单面装有SMD
双面全SMD 双面装有SMD
单面混装 单面既有SMD,又有THC
A面混装B面仅贴简单SMD 一面既装SMD,又装有THC另一面仅装有Chip类元件和SOP
A面THCB面仅贴简单SMD 一面装THC另一面仅装有Chip类元件SOP
2.2审核PCB工艺夹持边和定位孔设计
因在PCB组装过程中,PCB应留出一定的边缘便于设备的夹持。一般沿PCB焊接传送方向两条边留出4mm夹持边(不同的设备可能不同),在这个范围内不允许布放元器件和焊盘,遇有高密度板无法留出夹持边的,可设计工艺边或采用拼板形式焊后切去。有些型号贴片机还需设置定位孔,那么在定位孔周围lmm范围内也不允许贴片。
2.3审核PCB设计定位基准符号和尺寸
2.3.1对于采用光学基准符号定位的贴片设备(如丝印机、贴片机)必须设计出光学定位基准符号。
2.3.2基准符号的应用有三种情况,一是用于PCB的整板定位;二是用于细间距器件的定位,对于这种情况原则上间距小于0.65mm的QFP应应在其对角位置设置定位基准符号;三是用于拼版PCB子板的定位。基准符号成对使用。布置于定位要素的对角处。
2.3.3基准符号种类和尺寸。基准符号采用图l所示的各种形状及尺寸,一般优选●形。
2.3.4基准符号材料为覆铜箔或镀锡铅合金覆铜箔。考虑到材料颜色与环境的反差,通常留出比基准符号大1.5mm的无阻焊区。
2:4审核SMT印制板的布线设计
  SMT印制板的布线密度设计原则:在组装密度许可情况下,尽量选用低密度布线设计,以提高无缺陷和可靠性的制造能力。
2.4.1在元器件尺寸较大,而布线密度较低时,可适当加宽印制导线及其间距,走线间距一般定为0.3MM,并尽量把不用的地方合理地作为接地和电源用,对于高频信号最好用地线屏蔽,提高高频电路的屏蔽效果。在大面积使用地线布置时,地线应设计成网格形式,避免在高温焊接产生应力,增加印制板变形度。
2.4.2在双面或多层印制电路板中,相邻两层印制导线,宜相互垂直走线或斜交、弯曲走线,力求避免相互平行走线。
2.4.3印制导线布线图尽可能短,过孔尽可能少,待别是电子管栅极,晶体管的基极和高频回路更应注意布线要短,线路越短电阻越小,于扰也越小。
2.4.4印制电路板上同时安装模拟电路和数字电路时,宜将两种电路的地线系统完全分开,它们的供电系统同样也宜完全分开,防止它们之间的相互串扰。
2.4.5作为高速数字电路的输入端和输出端用的印制导线,应避免相邻平行布线。必要时,在这些导线之间要加接地线。
2.4.6印制板信号走线,尽量粗细一致,有利于阻抗的匹配,一般为0.2—0.3mm,对于电源线和地线应尽可能的加大,地线排在印制板的四周对电路防护有利(如静电防护)。
2.5审核SMT印制板的布局设计
  SMT印制板设计中SMD等元器件的布置是关系到获得稳定的焊接质量的重要保障,因此在设计和审核SMT印制板设计中应注意以下几个方面。
2.5.1在采用波峰焊接时,应尽量去除“阴影效应”,即器件的管脚方向应平行于锡流方向。波峰焊时推荐采用的元件布置方向如图2所示。
2.5.2SMD在PCB上应均匀分布,特别是大功率器件和大质量器件必须分散布置。大功率器件如果加装散热器时应排布散热器的位置和固定方式,热敏感器件应远离散热器,大质量的器件应考虑加装器件固定架或固定盘。
2.5.3SMD在PCB上的排列,原则上应随元器件类型改变而变化,但同时SMD尽可能采取一个方向、一个间距、一个极性排列。这样有利于贴装、焊接和检测。
2.5.4考虑到元器件制造误差、贴装误差以及检测和返修之需,相邻元器件焊盘之间间隔不能太近,建议按下述原则设计。
  (1)PLCC、QFP、SOP各自之间和相互之间间距≥2.5mm。
  (2)PLCC、QFP、SOP与Chip、SOT之间间距≥1.5mm。
  (3)Chip、SOT相互之间间距≥0.7mm。
2.5.5采用波峰焊焊接的PCB面(一般是PCB背面),元器件的布局按以下要求设计。
  (1)波峰焊不适合于细间距QFP、PLCC、BGA和小间距SOP器件的焊接,也就是说在要波峰焊的PCB面尽量不要布置这类器件。
  (2)当元件尺寸相差较大的贴片元器件相邻排列且间距较小时(一般指其间隔小于相邻元件中较大一个元件的高度),较小的元器件应排在首先进入焊料波的位置。一般将PCB长尺寸边作为传送边,布局时将小元件置于它相邻大元件的同一侧。
2.5.6插装元件布局
  (1)元件尽可能有规则地分布排列,以得到均匀的组装密度;(2)大功率元件周围不应布置热敏元件,要留有足够的距离;(3)装在印制板组件上的元件不允许重叠。
  所有不绝缘的金属外壳元件,如钽电容、有金属基底的扁平组件,当它们跨越印制导线时,应当用指定材料加以绝缘,如套管和绝缘带。插件元件极性尽量同一方向布置。
2.5.7电路易扭曲变形,受力部位元件的布置应考虑PCB变形对元件可靠性的影响,如图3所示。
2,6审核SMT印制板过孔与焊盘的设计
2.6.1焊盘原则上应尽量避免设计过孔,如果孔和焊点靠得太近,通孔由于毛细管作用可能把熔化的焊锡从元器件上吸走,造成焊点不饱满或虚焊。第六届装联学会论文集中,有人尝试直接在焊盘上使用了过孔设计,原因是元器件密度较高,是多层板,设计时过孔尽量设置在焊盘的顶端,过孔必须小于焊盘,要求过孔越小越好,最小钻孔直径控制在0.3mm。这种方式在工艺和质量控制手段上相对要复杂一些,因此如果在条件许可的情况下,仍应尽量避免在焊盘上设计过孔。
2.6.2进行SMT印制板焊盘的设计有一些标准和资料都描述得很清楚,审核也是以这些标准为依据。但是有几个容易忽视的问题值得注意。
  (1)SOP、QFP、PLCC、BGA存在着英制和公制两种规格,而且除了PLCC外,其它封装形式很不标准,各厂家生产的封装尺寸不完全一致。设计时,应以供应商提供的封装结构尺寸来进行设计。要求设计者应掌握器件供应商的资料,在电路设计工作中,应随时更新和增补元器件材料库,保证设计者能从库中直接调用器件时不会发生记录与器件不符现象。
  (2)当采用波峰焊接工艺时,插脚的焊盘通孔,一般应比引脚线径大0.05—0.30mm,其焊盘的直径应不大于孔径的3倍。由于器件的生产企业的不同,批次的不同,引线管脚尺寸常有误差,往往生产中才发现有器件无法插入孔径的问题,在设计过程中是难以审核出这种问题,该问题只能在材料的入库前检验把关,因此材料检验机构应具备与设计同样的详细器件资料。
2.6.3 SMT印制板可测试性焊盘设计的审核。在规模生产中,SMT印制板的测试主要采用ICT(在线测试)方式,在使用针床接触式测试时,应注意审核的主要内容。  
  (1)定位孔设计的尺寸和精度要求,在印制板规划图中已规划出定位孔尺寸和精度,设计中定位孔按对角设计,孔径应符合所选ICT设备定位销的尺寸及公差要求。在印制板面积较大时,最好设计三个定位孔,呈三角形排列;(2)测试点的焊盘尺寸应大于0,9mm;(3)采用真空吸附,针床接触测试方式时,尽量将需要测试点的焊盘设计在一个平面(对于双层板或多层板),可以减少测试工序,测试点将均匀地分布在印制板上,保持板面受力均匀;(4)测试点焊盘的位置应尽量布置在网格上。
2.7审核设计输出资料的齐套性
  在进行完资料检查后,SMT印制板的设计者应向制造商提供以下磁盘文件和说明文件。(1)PCB制造用主要菲林文件,包括每层布线图、字符图、阻焊图;(2)钻孔图,不需孔金属化的要标明(包括孔径、金属化状态);(3)外形图(包括定位孔尺寸及位置要求);
  说明性文件应包括以下内容:(1)基板材料,最终厚度及公差要求;(2)镀层厚度,孔金属化最终尺寸要求;(3)丝印油墨材料及颜色:(4)阻焊膜材料及厚度;(5)PCB拼版图纸;(6)其它必须要说明的特殊要求。
3 SMT印制板的设计质量审核质量记录
  在SMT设计加工过程中,任何一个环节出现的问题均有可能造成产品质量的降低,因此在质量控制中应有一套严谨的质量保障体系。印制板的设计人员首先应明确设计质量是关系到产品质量的前提,完成功能的设计并不意味任务的结束,他仍需组织试制、样机评审、设计的更改与完善直至交付批量生产,在这些过程中质量记录是很重要的信息也是设计者改进的依据,它一直贯穿于产品的设计至生产过程中,如图4所示。
  在印制板完成工程设计后,要求设计人员首先应完成电性能的验证,同时按下述内容自审布板的内容:
  (1)SMT板型设计是否考虑了最大限度地减少组装流程的问题,即双面板的设计能否用单面板代替,PCB每一面是否能用一种组装流程完成,能否最大限度地不用手工焊;
(2)PCB是否留出工艺传送边;
(3)PCB是否设计出定位基准符号,尺寸是否正确,定位基准符号周围是否有1.0一1.5mm无阻焊区;
(4)PCB非接地安装孔是否标明非金属化;
(5)SMD的布局是否均匀,大元件是否分散布局;
(6)SMD之间的间距是否利于检测和修补;
(7)SMD的排布是否按照一个极性、一个引线位向的原则排列;
(8)对于采用波峰焊的P哪上,元器件引线的排列是否严格按照一个引线位向排列,一大一小相邻很近(相邻距离小于大元件高度)元件的排列是否利于消除遮蔽现象;
(9)PCB上SMD引线与焊盘尺寸是否一致;
(10)轴向插装元件立式安装时的插孔跨距是否大小合适;
(11)径向插装元件插孔跨距是否与元件引线中心距一致;
(12)相邻插装元件之间的间距是否利于手工插装作业;
(13)每个插装元件安装空间是否足够;
(14)PCB的元件标识符是否易于看到,有极向元件极性是否标出,比第一脚位置是否标出;
(15)勘皿焊盘与引线的连接、SMD焊盘与导通孔的连接是否符合工艺要求;
(16)测试焊盘是否考虑;
(17)阻焊膜是否将不需要焊接的金属导体全部覆盖;
(18)PCB安装时,是否有导电地方同机架相碰;
(19)PCB外形形状和尺寸是否与结构件设计一致;
(20)PCB上接插件位置是否利于布线和插拔;
(21)PCB布线密度(间隔和线宽)是否满足电气性能要求;
(22)小尺寸板是否考虑了拼版制造。
  上述内容经过设计自审后,一般能避免许多常见问题的出现。设计资料交由工艺工程人员进行复审,复审的内容与设计自审的内容相似,在审核过程中工艺工程人员逐项完成印制板的设计审核,并在“印制板设计工艺联络单”中记录审核过程中的质量问题,该记录将作为设计者更改依据,也作为生产中跟踪生产效果和质量状态依据。
  在实际工作中,我们应充分地认识设计质量的重要性,加强设计质量工作中的自审和复审工作就一定能取得理想的实际效果。
离线寂寞到底
在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时
级别:Mod

金币
113935
威望
90
贡献
14
好评
11
注册
2002-09-12
只看该作者 板凳  发表于: 2010-01-12
PCB布线规则
连线精简原则
连线要精简,尽可能短,尽量少拐弯,力求线条简单明了,特别是在高频回路中,当然为了达到阻抗匹配而需要进行特殊延长的线就例外了,例如蛇行走线等。安全载流原则铜线的宽度应以自己所能承载的电流为基础进行设计,铜线的载流能力取决于以下因素:线宽、线厚(铜铂厚度)、允许温升等,下表给出了铜导线的宽度和导线面积以及导电电流的关系(军品标准),可以根据这个基本的关系对导线宽度进行适当的考虑。印制导线最大允许工作电(导线厚50um,允许温升10℃)
导线宽度(Mil) 导线电流(A)
10 1
15 1.2
20 1.3
25 1.7
30 1.9
50 2.6
75 3.5
100 4.2
200 7.0
250 8.3
相关的计算公式为:
I=KT0.44A0.75
其中:
K 为修正系数,一般覆铜线在内层时取0.024,在外层时取0.048;
T 为最大温升,单位为℃;
A 为覆铜线的截面积,单位为mil(不是mm,注意);
I 为允许的最大电流,单位是A。
电磁抗干扰原则
电磁抗干扰原则涉及的知识点比较多,例如铜膜线的拐弯处应为圆角或斜角(因为高频时直角或者尖角的拐弯会影响电气性能)双面板两面的导线应互相垂直、斜交或者弯曲走线,尽量避免平行走线,减小寄生耦合等。
一、 通常一个电子系统中有各种不同的地线,如数字地、逻辑地、系统地、机壳地等,地线的设计原则如下:
1、 正确的单点和多点接地在低频电路中,信号的工作频率小于1MHZ,它的布线和器件间的电感影响较小,而接地电路形成的环流对干扰影响较大,因而应采用一点接地。当信号工作频率大于10MHZ 时,如果采用一点接地,其地线的长度不应超过波长的1/20,否则应采用多点接地法。
2、 数字地与模拟地分开
若线路板上既有逻辑电路又有线性电路,应尽量使它们分开。一般数字电路的抗干扰能力比较强,例如TTL 电路的噪声容限为0.4~0.6V,CMOS 电路的噪声容限为电源电压的0.3~0.45 倍,而模拟电路只要有很小的噪声就足以使其工作不正常,所以这两类电路应该分开布局布线。
3、 接地线应尽量加粗
若接地线用很细的线条,则接地电位会随电流的变化而变化,使抗噪性能降低。因此应将地线加粗,使它能通过三倍于印制板上的允许电流。如有可能接地线应在2~3mm 以上。
4、 接地线构成闭环路
只由数字电路组成的印制板,其接地电路布成环路大多能提高抗噪声能力。因为环形地线可以减小接地电阻,从而减小接地电位差。
二、 配置退藕电容
PCB 设计的常规做法之一是在印刷板的各个关键部位配置适当的退藕电容,退藕电容的一般配置原则是: 电源的输入端跨接10~100uf 的电解电器,如果印制电路板的位置允许,采用100uf 以上的电解电容器抗干扰效果会更好。
原则上每个集成电路芯片都应布置一个0.01uf~`0.1uf 的瓷片电容,如遇印制板空隙不够,可每4~8 个芯片布置一个1~10uf 的钽电容(最好不用电解电容,电解电容是两层薄膜卷起来的,这种卷起来的结构在高频时表现为电感,最好使用钽电容或聚碳酸酝电容)。
对于抗噪能力弱、关断时电源变化大的器件,如RAM、ROM 存储器件,应在芯片的电源线和地线之间直接接入退藕电容。
电容引线不能太长,尤其是高频旁路电容不能有引线。
三、 过孔设计
在高速PCB 设计中,看似简单的过孔也往往会给电路的设计带来很大的负面效应,为了减小过孔的寄生效应带来的不利影响,在设计中可以尽量做到:
从成本和信号质量两方面来考虑,选择合理尺寸的过孔大小。例如对6- 10 层的内存模块PCB 设计来说,选用10/20mil(钻孔/焊盘)的过孔较好,对于一些高密度的小尺寸的板子,也可以尝试使用8/18Mil 的过孔。在目前技术条件下,很难使用更小尺寸的过孔了(当孔的深度超过钻孔直径的6 倍时,就无法保证孔壁能均匀镀铜);对于电源或地线的过孔则可以考虑使用较大尺寸,以减小阻抗。 使用较薄的PCB 板有利于减小过孔的两种寄生参数。
PCB 板上的信号走线尽量不换层,即尽量不要使用不必要的过孔。
电源和地的管脚要就近打过孔,过孔和管脚之间的引线越短越好。
在信号换层的过孔附近放置一些接地的过孔,以便为信号提供最近的回路。甚至可以在PCB 板上大量放置一些多余的接地过孔。
四、 降低噪声与电磁干扰的一些经验
能用低速芯片就不用高速的,高速芯片用在关键地方。
可用串一个电阻的方法,降低控制电路上下沿跳变速率。
尽量为继电器等提供某种形式的阻尼,如RC 设置电流阻尼。
使用满足系统要求的最低频率时钟。
时钟应尽量靠近到用该时钟的器件,石英晶体振荡器的外壳要接地。
用地线将时钟区圈起来,时钟线尽量短。
石英晶体下面以及对噪声敏感的器件下面不要走线。
时钟、总线、片选信号要远离I/O 线和接插件。
时钟线垂直于I/O 线比平行于I/O 线干扰小。 I/O 驱动电路尽量靠近PCB 板边,让其尽快离开PCB。对进入PCB 的信号要加滤波,从高噪声区来信号也要加滤波,同时用串终端电阻的办法,减小信号反射。
MCU 无用端要接高,或接地,或定义成输出端,集成电路上该接电源、地的端都要接,不要悬空。
闲置不用的门电路输入端不要悬空,闲置不用的运放正输入端接地,负输入端接输出端。
印制板尽量使用45 折线而不用90 折线布线,以减小高频信号对外的发射与耦合。
印制板按频率和电流开关特性分区,噪声元件与非噪声元件呀距离再远一些。
单面板和双面板用单点接电源和单点接地、电源线、地线尽量粗。
模拟电压输入线、参考电压端要尽量远离数字电路信号线,特别是时钟。
对A/D 类器件,数字部分与模拟部分不要交叉。
元件引脚尽量短,去藕电容引脚尽量短。
关键的线要尽量粗,并在两边加上保护地,高速线要短要直。
对噪声敏感的线不要与大电流,高速开关线并行。
弱信号电路,低频电路周围不要形成电流环路。
任何信号都不要形成环路,如不可避免,让环路区尽量小。 每个集成电路有一个去藕电容。每个电解电容边上都要加一个小的高频旁路电容。
用大容量的钽电容或聚酷电容而不用电解电容做电路充放电储能电容,使用管状电容时,外壳要接地。对干扰十分敏感的信号线要设置包地,可以有效地抑制串扰。
信号在印刷板上传输,其延迟时间不应大于所有器件的标称延迟时间。环境效应原则要注意所应用的环境,例如在一个振动或者其他容易使板子变形的环境中采用过细的铜膜导线很容易起皮拉断等。
安全工作原则
要保证安全工作,例如要保证两线最小间距要承受所加电压峰值,高压线应圆滑,不得有尖锐的倒角,否则容易造成板路击穿等。组装方便、规范原则走线设计要考虑组装是否方便,例如印制板上有大面积地线和电源线区时(面积超过50平方毫米),应局部开窗口以方便腐蚀等。此外还要考虑组装规范设计,例如元件的焊接点用焊盘来表示,这些焊盘(包括过孔)均会自动不上阻焊油,但是如用填充块当表贴焊盘或用线段当金手指插头,而又不做特别处理,(在阻焊层画出无阻焊油的区域),阻焊油将掩盖这些焊盘和金手指,容易造成误解性错误;SMD 器件的引脚与大面积覆铜连接时,要进行热隔离处理,一般是做一个Track 到铜箔,以防止受热不均造成的应力集中而导致虚焊;PCB上如果有Φ12 或方形12mm 以上的过孔时,必须做一个孔盖,以防止焊锡流出等。
经济原则
遵循该原则要求设计者要对加工,组装的工艺有足够的认识和了解,例如5mil 的线做腐蚀要比8mil 难,所以价格要高,过孔越小越贵等
热效应原则
在印制板设计时可考虑用以下几种方法:均匀分布热负载、给零件装散热器,局部或全局强迫风冷。从有利于散热的角度出发,印制板最好是直立安装,板与板的距离一般不应小于2cm,而且器件在印制板上的排列方式应遵循一定的规则:同一印制板上的器件应尽可能按其发热量大小及散热程度分区排列,发热量小或耐热性差的器件(如小信号晶体管、小规模集成电路、电解电容等)放在冷却气流的最上(入口处),发热量大或耐热性好的器件(如功率晶体管、大规模集成电路等)放在冷却气流最下。在水平方向上,大功率器件尽量靠近印刷板的边沿布置,以便缩短传热路径;在垂直方向上,大功率器件尽量靠近印刷板上方布置,以便减少这些器件在工作时对其他器件温度的影响。对温度比较敏感的器件最好安置在温度最低的区域(如设备的底部),千万不要将它放在发热器件的正上方,多个器件最好是在水平面上交错布局。设备内印制板的散热主要依靠空气流动,所以在设计时要研究空气流动的路径,合理配置器件或印制电路板。采用合理的器件排列方式,可以有效地降低印制电路的温升。此外通过降额使用,做等温处理等方法也是热设计中经常使用的手段。



有人说加大敷铜可以加大散热面,其实,对于此我不以为然。我说过铜是一种散热吸热快的金属,如果加大散热面要靠加大敷铜的面积的话,那就没有必要给很多的器件加热片了,我想大家对于计算机都颇有心得,一定攒过电脑,大家知道主板可别是cup等器件要用散热片,其实对于散热问题的考虑,我个人觉得和那里的道理是一样的,我就布罗嗦了。补充一点,把敷铜作为散热片是不合适的,岂不想金属的电阻率是随着温度的升高而升高的,我们把铜面积做大就是为了减小阻抗,如一散热器不是得不得不偿失。
使用网格自然有它的好处,但也不是说网格就一定比敷铜好。从散热的角度说,网格有好处(它降低了铜的受热面)又起到了一定的电磁屏蔽的作用。但是需要指出的是,网格是使由交错方向的走线组成的,我们知道对于电路来说,走线的宽度对于电路板的工作频率是有其相应的“电长度“的(实际尺寸除以工作频率对应的数字频率可得,具体可见相关书籍),当工作频率不是很高的时候,或许网格线的作用不是很明显,一旦电长度和工作频率匹配时,就非常糟糕了,可以说不能再糟糕了!!你会发现电路根本就不能正常工作,到处都在发射干扰系统工作的信号。所以对于使用网格的同仁,我的建议是根据设计的电路板工作情况选择,不要死抱着一种东西不放。
又有人说在敷铜上打过孔助于散热,至少目前我还没见有这方面的论证。而且我个人认为,敷铜就不该考虑让他散热(理由见前)。我们在敷铜上打过孔而且是大量的密集的(几个毫米就一个)的原因不是为了散热,而是为了降低阻抗,在高频电路中,铜的感抗是惊人的!!有这样一个事实:1个厘米左右的10mil的铜线工作在200mhz时,其感抗可高达几个欧姆!!对于布线有所了解的人知道有“共地线阻抗“一说。当电路工作在高频时,敷铜就是最大的共地线如不处理就会产生很大影响,但是敷铜又是一种很有效的电磁屏蔽的方法。所以在实际中我们就采用大量打过孔的办法解决电抗的问题。或许有人还不能理解为什么多打过孔会改善,要仔细分析起来很麻烦,因为打过孔对板子的电器性能影响很大,影响分布电容,板间电容等等参数,打个不合适的比方吧,打两个过孔就好像把正反的两的电阻并联起来,其等效阻抗不就小很多?--这只是打比方,不要当真..:>
还有,敷铜时要注意,在板子上最好不要有尖的角出现(《=180度),因为
从电磁学的角度来讲,这就构成的一个发射天线!!对于其他总会有一影响的只不过是大还是小而已,我建议使用圆弧的边沿线。
以上是我的一点体会,不到,请柬量。


所谓覆铜,就是将PCB上闲置的空间作为基准面,然后用固体铜填充,这些铜区又称为灌铜。敷铜的意义在于,减小地线阻抗,提高抗干扰能力;降低压降,提高电源效率;还有,与地线相连,减小环路面积。如果PCB的地较多,有SGND、AGND、GND,等等,如何覆铜?我的做法是,根据PCB板面位置的不同,分别以最主要的“地”作为基准参考来独立覆铜,数字地和模拟地分开来敷铜自不多言。同时在覆铜之前,首先加粗相应的电源连线:V5.0V、V3.6V、V3.3V,等等。这样一来,就形成了多个不同形状的多变形结构。
覆铜需要处理好几个问题:一是不同地的单点连接,做法是通过0欧电阻或者磁珠或者电感连接;二是晶振附近的覆铜,电路中的晶振为一高频发射源,做法是在环绕晶振敷铜,然后将晶振的外壳另行接地。三是孤岛(死区)问题,如果觉得很大,那就定义个地过孔添加进去也费不了多大的事。
另外,大面积覆铜好还是网格覆铜好,不好一概而论。为什么呢?大面积覆铜,如果过波峰焊时,板子就可能会翘起来,甚至会起泡。从这点来说,网格的散热性要好些。通常是高频电路对抗干扰要求高的多用网格,低频电路有大电流的电路等常用完整的铺铜。然而,有个大侠曾经告诉我,做1GHz以上的信号的时候必须阻抗匹配,反射面必须是全覆铜!
个人经验:在开始布线时,应对地线一视同仁,走线的时候就应该把地线走好,不能依靠于覆铜后通过添加过孔来消除为连接的地引脚,这样的效果很不好。当然如果选用的是网格覆铜,这些地连线就有些影响美观,如果是细心人就删除吧。
最后,总结一下覆铜的好处:提高电源效率,减少高频干扰,还有一个就是看起来很美!


对于铺铜采用全铜还是网格铜,大家的争论很多。
1、频率在1g的信号采用网格铜降低反射这个问题,需要再斟酌。网格影响了铜的面积,同时也改变了走线的特征阻抗,使其变成不可控因素,这样对于阻抗控制有了更大的难度。
2.作网格铜防翘屈的问题,已经可以在PCB制作工艺中控制。但是一些规模较小的生产企业不能保证其工艺,则在投版价格低廉的厂家时一定要注意此问题。
补充:
铜是所有信号能量的回流,网格会对其载流量有一定影响,在大功率设备或电路应用网格铜时,一定要考虑此因素。


在数字电路中覆铜可以减少回流路径,在敏感的模拟电路中很可能造成干扰



PCB多层板设计规范与设计技术
多层板层设计的几个原则:
1-每个信号层都与平面相邻
2-信号层与与相邻平面成对
3-电源层和地层相邻并成对
4-高速信号埋伏在平面层中间,减少辐射
5-使用多个底层,减少地阻抗和共模辐射

解决EMI问题的办法很多,现代的EMI抑制方法包括:利用EMI抑制涂层、选用合适的EMI抑制零配件和EMI仿真设计等。本文从最基本的PCB布板出发,讨论PCB分层堆叠在控制EMI辐射中的作用和设计技巧。电源汇流排在IC的电源引脚附近合理地安置适当容量的电容,可使IC输出电压的跳变来得更快。然而,问题并非到此为止。由於电容呈有限频率响应的特性,这使得电容无法在全频带上生成干净地驱动IC输出所需要的谐波功率。除此之外,电源汇流排上形成的瞬态电压在去耦路径的电感两端会形成电压降,这些瞬态电压就是主要的共模EMI干扰源。我们应该怎麽解决这些问题?就我们电路板上的IC而言,IC周围的电源层可以看成是优良的高频电容器,它可以收集为干净输出提供高频能量的分立电容器所泄漏的那部份能量。此外,优良的电源层的电感要小,从而电感所合成的瞬态信号也小,进而降低共模EMI。当然,电源层到IC电源引脚的连线必须尽可能短,因为数位信号的上升沿越来越快,最好是直接连到IC电源引脚所在的焊盘上,这要另外讨论。为了控制共模EMI,电源层要有助於去耦和具有足够低的电感,这个电源层必须是一个设计相当好的电源层的配对。有人可能会问,好到什麽程度才算好?问题的答案取决於电源的分层、层间的材料以及工作频率(即IC上升时间的函数)。通常,电源分层的间距是6mil,夹层是FR4材料,则每平方英寸电源层的等效电容约为75pF。显然,层间距越小电容越大。上升时间为100到300ps的器件并不多,但是按照目前IC的发展速度,上升时间在100到300ps范围的器件将占有很高的比例。对於100到300ps上升时间的电路,3mil层间距对大多数应用将不再
适用。那时,有必要采用层间距小於1mil的分层技术,并用介电常数很高的材料代替FR4介电材
料。现在,陶瓷和加陶塑料可以满足100到300ps上升时间电路的设计要求。尽管未来可能会采用新材料和新方法,但对於今天常见的1到3ns上升时间电路、3到6mil层间距和FR4介电材料,通常足够处理高端谐波并使瞬态信号足够低,就是说,共模EMI可以降得很低。本文给出的PCB分层堆叠设计实例将假定层间距为3到6mil。电磁屏蔽从信号走线来看,好的分层策略应该是把所有的信号走线放在一层或若干层,这些层紧挨著电源层或接地层。对於电源,好的分层策略应该是电源层与接地层相邻,且电源层与接地层的距离尽可能小,这就是我们所讲的“分层"策略。PCB堆叠什麽样的堆叠策略有助於屏蔽和抑制EMI?以下分层堆叠方案假定电源电流在单一层上流动,单电压或多电压分布在同一层的不同部份。多电源层的情形稍後讨论。

4层板
4层板设计存在若干潜在问题。首先,传统的厚度为62mil的四层板,即使信号层在外层,电源和接地层在内层,电源层与接地层的间距仍然过大。如果成本要求是第一位的,可以考虑以下两种传统4层板的替代方案。这两个方案都能改善EMI抑制的性能,但只适用於板上元件密度足够低和元件周围有足够面积(放置所要求的电源覆铜层)的场合。
第一种为首选方案,PCB的外层均为地层,中间两层均为信号/电源层。信号层上的电源用宽线走线,这可使电源电流的路径阻抗低,且信号微带路径的阻抗也低。从EMI控制的角度看,这是现有的最佳4层PCB结构。
第二种方案的外层走电源和地,中间两层走信号。该方案相对传统4层板来说,改进要小一些,层间阻抗和传统的4层板一样欠佳。如果要控制走线阻抗,上述堆叠方案都要非常小心地将走线布置在电源和接地铺铜岛的下边。另外,电源或地层上的铺铜岛之间应尽可能地互连在一起,以确保DC和低频的连接性。

6层板
如果4层板上的元件密度比较大,则最好采用6层板。但是,6层板设计中某些叠层方案对
电磁场的屏蔽作用不够好,对电源汇流排瞬态信号的降低作用甚微。下面讨论两个实例。
第一例将电源和地分别放在第2和第5层,由於电源覆铜阻抗高,对控制共模EMI辐射非常不利。不过,从信号的阻抗控制观点来看,这一方法却是非常正确的。
第二例将电源和地分别放在第3和第4层,这一设计解决了电源覆铜阻抗问题,由於第1层和第6层的电磁屏蔽性能差,差模EMI增加了。如果两个外层上的信号线数量最少,走线长度很短(短於信号最高谐波波长的1/20),则这种设计可以解决差模EMI问题。将外层上的无元件和无走线区域铺铜填充并将覆铜区接地(每1/20波长为间隔),则对差模EMI的抑制特别好。如前所述,要将铺铜区与内部接地层多点相联。
通用高性能6层板设计一般将第1和第6层布为地层,第3和第4层走电源和地。由於在电源层和接地层之间是两层居中的双微带信号线层,因而EMI抑制能力是优异的。该设计的缺点在於走线层只有两层。前面介绍过,如果外层走线短且在无走线区域铺铜,则用传统的6层板也可以实现相同的堆叠。
另一种6层板布局为信号、地、信号、电源、地、信号,这可实现高级信号完整性设计所
需要的环境。信号层与接地层相邻,电源层和接地层配对。显然,不足之处是层的堆叠不平衡。这通常会给加工制造带来麻烦。解决问题的办法是将第3层所有的空白区域填铜,填铜後如果第3层的覆铜密度接近於电源层或接地层,这块板可以不严格地算作是结构平衡的电路板。填铜区必须接电源或接地。连接过孔之间的距离仍然是1/20波长,不见得处处都要连接,但理想情况下应该连接。

10层板
由於多层板之间的绝缘隔离层非常薄,所以10或12层的电路板层与层之间的阻抗非常低,只要分层和堆叠不出问题,完全可望得到优异的信号完整性。要按62mil厚度加工制造12层板,困难比较多,能够加工12层板的制造商也不多。由於信号层和回路层之间总是隔有绝缘层,在10层板设计中分配中间6层来走信号线的方案并非最佳。
另外,让信号层与回路层相邻很重要,即板布局为信号、地、信号、信号、电源、地、信号、信号、地、信号。这一设计为信号电流及其回路电流提供了良好的通路。恰当的布线策略是,第1层沿X方向走线,第3层沿Y方向走线,第4层沿X方向走线,以此类推。直观地看走线,第1层1和第3层是一对分层组合,第4层和第7层是一对分层组合,第8层和第10层是最後一对分层组合。当需要改变走线方向时,第1层上的信号线应藉由“过孔"到第3层以後再改变方向。实际上,也许并不总能这样做,但作为设计概念还是要尽量遵守。同样,当信号的走线方向变化时,应该藉由过孔从第8层和第10层或从第4层到第7层。这样布线可确保信号的前向通路和回路之间的耦合最紧。例如,如果信号在第1层上走线,回路在第2层且只在第2层上走线,那麽第1层上的信号即使是藉由“过孔"转到了第3层上,其回路仍在第2层,从而保持低电感、大电容的特性以及良好的电磁屏蔽性能。如果实际走线不是这样,怎麽办?比如第1层上的信号线经由过孔到第10层,这时回路信号只好从第9层寻找接地平面,回路电流要找到最近的接地过孔(如电阻或电容等元件的接地引脚)。如果碰巧附近存在这样的过孔,则真的走运。假如没有这样近的过孔可用,电感就会变大,电容要减小,EMI一定会增加。当信号线必须经由过孔离开现在的一对布线层到其他布线层时,应就近在过孔旁放置接地过孔,这样可以使回路信号顺利返回恰当的接地层。对於第4层和第7层分层组合,信号回路将从电源层或接地层(即第5层或第6层)返回,因为电源层和接地层之间的电容耦合良好,信号容易传输。

多电源层的设计
如果同一电压源的两个电源层需要输出大电流,则电路板应布成两组电源层和接地层。在这种情况下,每对电源层和接地层之间都放置了绝缘层。这样就得到我们期望的等分电流的两对阻抗相等的电源汇流排。如果电源层的堆叠造成阻抗不相等,则分流就不均匀,瞬态电压将大得多,并且EMI会急剧增加。如果电路板上存在多个数值不同的电源电压,则相应地需要多个电源层,要牢记为不同的电源创建各自配对的电源层和接地层。在上述两种情况下,确定配对电源层和接地层在电路板的位置时,切记制造商对平衡结构的要求。

总结
鉴於大多数工程师设计的电路板是厚度62mil、不带盲孔或埋孔的传统印制电路板,本文关於电路板分层和堆叠的讨论都局限於此。厚度差别太大的电路板,本文推荐的分层方案可能不理想。此外,带盲孔或埋孔的电路板的加工制程不同,本文的分层方法也不适用。电路板设计中厚度、过孔制程和电路板的层数不是解决问题的关键,优良的分层堆叠是保证电源汇流排的旁路和去耦、
使电源层或接地层上的瞬态电压最小并将信号和电源的电磁场屏蔽起来的关键。理想情况下,信号走线层与其回路接地层之间应该有一个绝缘隔离层,配对的层间距(或一对以上)应该越小越好。根据这些基本概念和原则,才能设计出总能达到设计要求的电路板。现在,IC的上升时间已经很短并将更短,本文讨论的技术对解决EMI屏蔽问题是必不可少的。
首先,你要划分层迭结构,为了方便设计,最好以基板为中心,向两侧对称分布,相临信号层之间用电地层隔离。
   层迭结构(4层、6层、8层、16层):
   对于传输线,顶底层采用微带线模型分析,内部信号层用带状线模型。6层/10层/14层/18层基板两侧的信号层最好用软件仿真,比较麻烦。6层/10层/14层/18层等基板两侧是信号层,没有电地隔离,需要注意相临层垂直走线和避免交流环路。如果还有其他电源,优先在信号层走粗线,尽量不要分割电地层。
    =====    玻璃纤维基板
    -----    FR4绝缘介质材料
    S(*)     信号层(层号)
    TOP      顶层信号层
    BOTTOM   底层信号层
    TOP                TOP          TOP        TOP
  -------           -------      -------    -------
    GND2            +5V          +5V       +3.3V
  =======      -------      -------    -------
    +5V                S3           S3          S3
  -------          =======      -------    -------
   BOTTOM          S4           GND4       GND4
                      -------      =======    -------
                         GND5         GND5        S5
                       -------      -------    -------
                         BOTTOM        S6        +1.5V
                                         -------    -------
                                           +3.3V        S7
                                            -------    -------
                                          BOTTOM      GND8
                                                         =======
                                                            GND9
                                                              -------
                                                            S10
                                                             -------
                                                           +1.0V
                                                           -------
                                                             S12
                                                          -------
                                                             GND13
                                                             -------
                                                                  S14
                                                             -------
                                                            +1.8V
                                                             -------
                                                              BOTTOM
    其次,向厂家询问参数(介电常数、线宽、铜厚、板厚),以便进行阻抗匹配。这些参数不必自己计算(算了也没用,厂家不一定能做到),应由厂家提供。有了这些参数,就可以计算线宽、线间距(3W)、线长,这时就可以开始画板子了。
    多层板有盲孔、埋孔、过孔三种,可以方便布线,但价格贵。有时需要减小板厚,以便插入PCI槽,而绝缘介质材料不满足要求(除非走私进口),此时可以变通地采用非均匀板,例如:中间14层,边缘2层来解决,哎,那个贵呀。
    高速线最好走内层,顶底层容易受到外界温度、湿度、空气的影响,不易稳定。如果需要测试,可以打测试过孔引出。不要再存有飞线、割线的幻想,多层板已经不需要“动手能力”了,因为线在内部而且高频,不能飞,线很密也不能钻孔。养成纸上作业的习惯,确保制板一次成功,否则,就地销毁吧,眼不见心不烦。
    电地层的四个角采用圆弧布线,板子可能的话也作成椭圆型。地层比电源层面积大些(20H)。
剩下的内容和双层板一样,不外乎电磁兼容、始端终端阻抗匹配、时钟同步等等,这些书嘛,就到处都是了。

离线junzai
在线等级:10
在线时长:690小时
升级剩余时间:80小时在线等级:10
在线时长:690小时
升级剩余时间:80小时在线等级:10
在线时长:690小时
升级剩余时间:80小时在线等级:10
在线时长:690小时
升级剩余时间:80小时
级别:中级会员

金币
17565
威望
11
贡献
4
好评
0
注册
2007-08-04
只看该作者 报纸  发表于: 2010-01-13
要是改成附件的形式就好了,呵呵,不过还是学习了,顶了!
离线wjs8848
在线等级:23
在线时长:3213小时
升级剩余时间:27小时在线等级:23
在线时长:3213小时
升级剩余时间:27小时在线等级:23
在线时长:3213小时
升级剩余时间:27小时在线等级:23
在线时长:3213小时
升级剩余时间:27小时在线等级:23
在线时长:3213小时
升级剩余时间:27小时
级别:黄金会员

金币
9164
威望
86
贡献
65
好评
94
注册
2007-07-14
只看该作者 地板  发表于: 2010-01-15
引用楼主寂寞到底于2010-01-12 14:30发表的 新版主上任,先放点料 :

祝贺DFX专栏开通运营,支持下,有机会俺也放点料.
离线wr81llh
在线等级:5
在线时长:220小时
升级剩余时间:50小时在线等级:5
在线时长:220小时
升级剩余时间:50小时
级别:初级会员

金币
764
威望
3
贡献
1
好评
0
注册
2004-09-24
只看该作者 地下室  发表于: 2010-01-15
谢谢分享,若是附件就好了.:)
离线草原狼
在线等级:9
在线时长:611小时
升级剩余时间:39小时在线等级:9
在线时长:611小时
升级剩余时间:39小时在线等级:9
在线时长:611小时
升级剩余时间:39小时
级别:核心会员

金币
1600
威望
8
贡献
9
好评
3
注册
2005-11-21
只看该作者 7楼 发表于: 2010-01-19
祝贺DFX专栏开通运营,支持下

学习中。。。
离线寂寞到底
在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时在线等级:10
在线时长:728小时
升级剩余时间:42小时
级别:Mod

金币
113935
威望
90
贡献
14
好评
11
注册
2002-09-12
只看该作者 8楼 发表于: 2010-01-27
DFM check list.zip (462 K) 下载次数:305  

响应大家的要求,上传附件。一份DFx check list,希望大家喜欢
1条评分
nestor 威望 +2 祝贺寂寞开版, SMTHOME因你而精彩! 2010-01-27
离线tmtry
在线等级:11
在线时长:778小时
升级剩余时间:122小时在线等级:11
在线时长:778小时
升级剩余时间:122小时在线等级:11
在线时长:778小时
升级剩余时间:122小时在线等级:11
在线时长:778小时
升级剩余时间:122小时在线等级:11
在线时长:778小时
升级剩余时间:122小时
级别:中级会员

金币
5
威望
4
贡献
4
好评
0
注册
2005-04-27
只看该作者 9楼 发表于: 2010-01-28
顶一顶,很有用的资料~谢谢LZ
离线billwhang
在线等级:2
在线时长:87小时
升级剩余时间:3小时在线等级:2
在线时长:87小时
升级剩余时间:3小时
级别:初级会员

金币
115
威望
3
贡献
1
好评
0
注册
2006-06-05
只看该作者 10楼 发表于: 2010-01-31
本来都是登陆状态的,下载还要重新登录,想顶下楼主,提示还要登陆,输入了回车了,又提示我已经登录。CAO,改版越改越差。
离线xiamizxy
在线等级:2
在线时长:54小时
升级剩余时间:36小时在线等级:2
在线时长:54小时
升级剩余时间:36小时
级别:初级会员

金币
769
威望
4
贡献
1
好评
2
注册
2006-08-07
只看该作者 11楼 发表于: 2010-02-12
版主真是细心人,我刚开始看了,准备开个word往里面拷呢,后面直接有附件了。
多谢分享
离线万事皆缘
在线等级:5
在线时长:236小时
升级剩余时间:34小时在线等级:5
在线时长:236小时
升级剩余时间:34小时
级别:核心会员

金币
2
威望
1
贡献
15
好评
1
注册
2008-08-26
只看该作者 12楼 发表于: 2010-02-18
学习了,谢谢
离线westlife0829
级别:初级会员

金币
107
威望
1
贡献
2
好评
0
注册
2008-12-27
只看该作者 13楼 发表于: 2010-11-02
引用第4楼junzai于2010-01-13 09:25发表的  :
要是改成附件的形式就好了,呵呵,不过还是学习了,顶了!

的确如此啊
离线lonesomebear
在线等级:1
在线时长:49小时
升级剩余时间:1小时
级别:初级会员
金币
69
威望
1
贡献
0
好评
0
注册
2008-04-17
只看该作者 14楼 发表于: 2010-11-03
谢谢楼主分享